中文亚洲精品无码_熟女乱子伦免费_人人超碰人人爱国产_亚洲熟妇女综合网

當前位置: 首頁 > news >正文

設計網站的素材谷歌賬號注冊

設計網站的素材,谷歌賬號注冊,吉林省吉林市豐滿區(qū),wordpress 圖片加速專欄前言 本專欄的內容主要是記錄本人學習Verilog過程中的一些知識點,刷題網站用的是??途W 串并轉換操作是非常靈活的操作,核心思想就是移位。串轉并就是把1位的輸入放到N位reg的最低位,然后N位reg左移一位,在把1位輸入放到左移后…
專欄前言

本專欄的內容主要是記錄本人學習Verilog過程中的一些知識點,刷題網站用的是??途W

串并轉換操作是非常靈活的操作,核心思想就是移位。串轉并就是把1位的輸入放到N位reg的最低位,然后N位reg左移一位,在把1位輸入放到左移后的reg的最低位,這樣循環(huán),就可以得到,以最高位開始傳輸,最低位傳輸完成的N位數據了,最后將這N位數據同時輸出,即為串行輸入并行輸出;并轉串就是把并行的N位數據的最高位給1位輸出,然后類似的循環(huán)左移就可以了。

`timescale 1ns/1ns
module huawei5(input wire clk  ,input wire rst  ,input wire [3:0]d ,output wire valid_in ,output wire dout);//*************code***********//reg [3:0] data ; reg [1:0] cnt ; reg valid ; always @ (posedge clk or negedge rst) begin if (!rst) begin data <= 0 ; cnt <= 0 ; valid <= 0 ; endelse begin if (cnt == 3) begin data <= d ; // 并行輸入cnt <= 0 ; valid <= 1 ; endelse begin cnt <= cnt + 1 ; valid <= 0 ; data <= {data[2:0], data[3]} ; // 每個時鐘將數據左移,保證每位數據都能出現在最高位endendendassign dout = data[3] ; // 每個時鐘上升沿讀取當前數據的最高位,四個時鐘讀完assign valid_in = valid ; //*************code***********//endmodule
http://www.risenshineclean.com/news/22872.html

相關文章:

  • 蘭州裝修公司網站seo推廣營銷
  • 暖色網站如何做線上推廣
  • 做網站建設的合同域名訪問網站
  • 印度網站后綴百度數據開放平臺
  • 蕭山網站建設app線上推廣是什么工作
  • 網絡推廣平臺有哪些公司搜索引擎優(yōu)化答案
  • 專業(yè)微信網站建設公司首選公司全網營銷推廣方案外包
  • 做金融必看網站seo網站推廣主要目的不包括
  • 南通做百度網站的公司哪家好杭州千鋒教育地址
  • 購物網站排名女裝北京十大教育培訓機構排名
  • 青島市網站建設seo實戰(zhàn)密碼第三版
  • 課程網站建設 碧輝騰樂溫嶺網絡推廣
  • html5制作手機網站教程十大輿情網站
  • 淘寶做網站價格百度推廣有哪些售后服務
  • 翻譯公司網站建設多少錢百度賬號注冊中心
  • 建立網站外鏈常用的渠道有哪些優(yōu)化是什么梗
  • 在哪個網站做任務賺錢小程序開發(fā)公司前十名
  • 響水做網站的源碼交易平臺
  • 有哪些網站可以做家教網絡推廣seo怎么做
  • 合川網站優(yōu)化茶葉網絡推廣方案
  • 國內互聯網公司排名2021seo推廣軟件哪個好
  • 專門做干果批發(fā)的網站seo快速排名關鍵詞
  • wordpress wjj搜索引擎優(yōu)化的基本方法
  • goood設計網站俄羅斯搜索引擎yandex
  • 下列關于網站開發(fā)網頁上傳中國500強最新排名
  • wordpress移動端導航鞍山seo公司
  • 安徽建站公司短視頻關鍵詞優(yōu)化
  • web網站開發(fā)技術考試題型武漢seo哪家好
  • 動態(tài)網站建設技術做網頁設計的軟件
  • 建設工程信息在什么網站發(fā)布互動營銷策略