中文亚洲精品无码_熟女乱子伦免费_人人超碰人人爱国产_亚洲熟妇女综合网

當(dāng)前位置: 首頁 > news >正文

如何做網(wǎng)站的的關(guān)鍵詞網(wǎng)絡(luò)培訓(xùn)學(xué)校

如何做網(wǎng)站的的關(guān)鍵詞,網(wǎng)絡(luò)培訓(xùn)學(xué)校,光伏項(xiàng)目建設(shè)背景,寧波網(wǎng)站推廣專業(yè)的建站優(yōu)化公司實(shí)現(xiàn)按鍵消抖功能: 1.濾除按鍵按下時的噪聲和松開時的噪聲信號。 2.獲取已消抖的按鍵按下的標(biāo)志信號。 3.實(shí)現(xiàn)已消抖的按鍵的連續(xù)功能。 Verilog實(shí)現(xiàn) 模塊端口 key_filter(input wire clk ,input wire rst_n ,input wire key_in , //按下按鍵時為0output …

實(shí)現(xiàn)按鍵消抖功能:

1.濾除按鍵按下時的噪聲和松開時的噪聲信號。

2.獲取已消抖的按鍵按下的標(biāo)志信號。

3.實(shí)現(xiàn)已消抖的按鍵的連續(xù)功能。

Verilog實(shí)現(xiàn)

模塊端口

key_filter(input	wire 	clk		,input	wire 	rst_n	,input	wire 	key_in	,	//按下按鍵時為0output 	reg 	key_flag,	//第一次按下的標(biāo)志信號(已消抖)output 	reg 	key_out	,	//輸出按鍵信號(已消抖)output 	reg 	key_cont	//輸出連續(xù)按鍵信號(已消抖)-計時一段時間拉高1次
);

20ms計數(shù)

always@(posedge clk or negedge rst_n)if(!rst_n) cnt_20ms <= 20'd0;else if(key_in)	//松下按鍵cnt_20ms <= 20'd0;else if(cnt_20ms == CNT_20MS_MAX)	//達(dá)到消抖時間cnt_20ms <= CNT_20MS_MAX;elsecnt_20ms <= cnt_20ms+20'd1;

按鍵第一次按下的標(biāo)志信號

always@(posedge clk or negedge rst_n)if(!rst_n) key_flag<=1'b0;else if(cnt_20ms == CNT_20MS_MAX-20'd1)	//已消抖,拉高key_flag一個周期key_flag<= 1'b1;else key_flag<=1'b0;

已消抖的按鍵信號

always@(posedge clk or negedge rst_n)if(!rst_n) key_out<=1'b0;else if(key_in)	//松下按鍵key_out<= 1'b0;else if(key_flag)	//已消抖key_out<= 1'b1;else ;

連續(xù)信號所需計數(shù)器

always@(posedge clk or negedge rst_n)if(!rst_n) cnt_cont <= 20'd0;else if(key_out) begin	//已消抖if(cnt_cont == CNT_CONT_MAX)cnt_cont <= 20'd0;else cnt_cont <= cnt_cont+20'd1;endelsecnt_cont <= 20'd0;

連續(xù)按鍵信號(已消抖)-計時一段時間拉高1次

always@(posedge clk or negedge rst_n)if(!rst_n) key_cont<=1'b0;else if(key_flag)key_cont <= 1'b1;else if(key_out) begin	//已消抖if(cnt_cont == CNT_CONT_MAX)	//連續(xù)按下一定時間,拉高key_cont一個周期key_cont <= 1'b1;else key_cont <= 1'b0;endelsekey_cont <= 1'b0;

testbench:

`timescale 1ns/1ns
module tb_key_filter();reg clk ;
reg rst_n ;
reg key_in ;
reg [7:0] tb_cnt ;wire key_flag;
wire key_out ;
wire key_cont;defparam u_key_filter.CNT_20MS_MAX = 20'd9;
defparam u_key_filter.CNT_CONT_MAX = 24'd49;initial begin clk = 1'b1 ;rst_n = 1'b0;#20rst_n = 1'b1;#(20*199+100)$stop;
endalways #10 clk=~clk;always@(posedge clk or negedge rst_n)if(!rst_n) tb_cnt <=8'b0;else if(tb_cnt ==8'd199)tb_cnt <=8'b0;elsetb_cnt <= tb_cnt +8'b1;always@(posedge clk or negedge rst_n)if(!rst_n) key_in <= 1'b1 ; else if(((tb_cnt>=8'd9) && (tb_cnt<=8'd39))||((tb_cnt>=8'd159) && (tb_cnt<=8'd179)))key_in<={$random}%2;else if((tb_cnt<8'd9)||(tb_cnt>8'd179))key_in<=1'b1;elsekey_in<=1'b0;key_filter u_key_filter(.clk		(clk		),.rst_n		(rst_n		),.key_in		(key_in		), .key_flag	(key_flag	),	//第一次按下的標(biāo)志信號(已消抖).key_out	(key_out	),	//輸出按鍵信號(已消抖).key_cont	(key_cont	)	//輸出連續(xù)按鍵信號(已消抖)-計時一段時間拉高1次
);endmodule

仿真波形:

http://www.risenshineclean.com/news/57681.html

相關(guān)文章:

  • 免費(fèi)做網(wǎng)站wxp114百度愛采購優(yōu)化軟件
  • 長春市疫情最新消息今天行動軌跡湖南網(wǎng)站seo營銷
  • 258做網(wǎng)站怎么樣網(wǎng)站排名優(yōu)化+o+m
  • 自己做優(yōu)惠劵網(wǎng)站賺錢嗎網(wǎng)站seo是干什么的
  • 響應(yīng)式網(wǎng)站新聞部分怎么做aso優(yōu)化軟件
  • 順徳網(wǎng)站建設(shè)公司有哪些搜索關(guān)鍵詞排名優(yōu)化軟件
  • 臨沂品牌網(wǎng)站推廣人民日報今日新聞
  • ui設(shè)計技術(shù)培訓(xùn)學(xué)校十堰seo優(yōu)化
  • 房產(chǎn)這么做網(wǎng)站才多點(diǎn)擊量2023新聞熱點(diǎn)摘抄
  • 佳木斯建設(shè)工程交易中心網(wǎng)站自助建站
  • 建筑培訓(xùn)網(wǎng)站網(wǎng)絡(luò)廣告策劃的內(nèi)容
  • 青縣做網(wǎng)站價格好看的網(wǎng)站ui
  • 手機(jī)網(wǎng)站開放關(guān)鍵詞排名點(diǎn)擊軟件工具
  • 怎么做網(wǎng)站報告網(wǎng)絡(luò)輿情分析研判報告
  • 推薦10個網(wǎng)站電子商務(wù)網(wǎng)站開發(fā)
  • 天眼查 企業(yè)查詢官網(wǎng)seo網(wǎng)站推廣優(yōu)化
  • 網(wǎng)站設(shè)計網(wǎng)站開發(fā)百度推廣投訴人工電話
  • 西安網(wǎng)站策劃公司一呼百應(yīng)推廣平臺
  • 手機(jī)端首頁尺寸多少seo排名計費(fèi)系統(tǒng)
  • 做燈箱片的設(shè)計網(wǎng)站站長工具箱
  • 網(wǎng)站建設(shè)51jyoo360建網(wǎng)站
  • 競價移動網(wǎng)站b站網(wǎng)站推廣mmm
  • 怎樣用自己的電腦做網(wǎng)站seo在線培訓(xùn)機(jī)構(gòu)排名
  • 創(chuàng)意網(wǎng)站品牌營銷經(jīng)典案例
  • 在線制作名片生成器嘉興優(yōu)化公司
  • 滎陽市網(wǎng)站優(yōu)化是做什么的
  • 懶懶淘客怎么做自己的網(wǎng)站優(yōu)化設(shè)計英語
  • 沒有空間可以做網(wǎng)站嗎seo優(yōu)化是指
  • 網(wǎng)站建設(shè) 啟象科技網(wǎng)絡(luò)輿情優(yōu)化公司
  • 凡科建設(shè)網(wǎng)站步驟友鏈交換不限內(nèi)容