中文亚洲精品无码_熟女乱子伦免费_人人超碰人人爱国产_亚洲熟妇女综合网

當前位置: 首頁 > news >正文

淄博網(wǎng)站設計丨致信網(wǎng)絡網(wǎng)絡銷售 市場推廣

淄博網(wǎng)站設計丨致信網(wǎng)絡,網(wǎng)絡銷售 市場推廣,安徽智能網(wǎng)站建設哪里有,阿里云服務器免費一個月前言 Verilog HDL中提供了豐富的系統(tǒng)任務和系統(tǒng)函數(shù),用于對仿真環(huán)境、文件操作、時間控制等進行操作。(后續(xù)會進行補充) 正文 一、verilogHDL仿真詳解 timescale 1ns/1ps //時間單位為1ns,精度為1ps, //編譯…

前言

Verilog HDL中提供了豐富的系統(tǒng)任務和系統(tǒng)函數(shù),用于對仿真環(huán)境、文件操作、時間控制等進行操作。(后續(xù)會進行補充)

正文

一、verilogHDL仿真詳解

`timescale 1ns/1ps      
//時間單位為1ns,精度為1ps,
//編譯指令將時間單位與實際時間相關(guān)聯(lián)。
//該指令用于定義時延、仿真的單位和精度module tb_test_all_tb();			//仿真模塊名(不可至工程頂層)	wire data_in;						//仿真模塊輸入reg  data_out;					//仿真模塊輸出tb_test_all tb_test_all_inst(		//被測試模塊名 #(.被測試模塊全局變量(目標值)) 被測試模塊名例化名.bin(	data_in  ),					//被測試模塊輸入.bcd(	data_out )					//被測試模塊輸出
);		reg 	data_1;							//測試中間變量(這里用于接收數(shù)據(jù))integer data_m;						integer i = 0;initial begin							//執(zhí)行一次(仿真初始化賦值)data_m = $fopen("data.txt");	//創(chuàng)建并打開文本文件(絕對路徑或相對路徑)#20000								//延時20000 * 1nsdata_1 = {$random}%256;			//隨機產(chǎn)生0~256之間的數(shù)字,沒有{}時產(chǎn)生-256~256之間的數(shù)字$fclose(data_m);					//關(guān)閉文件。forever #5 clk = ~clk; 			//每5個時間單位翻轉(zhuǎn)一次時鐘信號,生成時鐘信號$stop;								//暫停仿真$finish;								//仿真結(jié)束endalways@(*) begin							//多次執(zhí)行(循環(huán)結(jié)構(gòu)等)$display("this is verilog");		//打印輸出字符$display("%t,%b",$time,data_in);	//打印輸出data_in已%b的形式,并且輸出打印時間$monitor("%t,%b",$time,data_in);	//持續(xù)監(jiān)控指定變量的值,并在變量值發(fā)生變化時顯示$fwrite(data_m, "%c", data_in);	//向文件寫入數(shù)據(jù),將data_in已%c的格式寫入到data.txt$fdisplay(data_m, "%c", data_in);//向文件寫入數(shù)據(jù),將data_in已%c的格式寫入到data.txt$readmemb("data.txt",data_1);		//從文件讀入數(shù)據(jù)讀入到data_1,readmemb二進制方式,readmemh十六進制$fscanf(data_m, "%h", data_in);	// 使用fscanf讀取一個1位無符號整數(shù)從文件data.txt讀入到data_1endendmodule

參考資料

Verilog中的系統(tǒng)函數(shù)和系統(tǒng)任務

http://www.risenshineclean.com/news/50874.html

相關(guān)文章:

  • wordpress導入b站視頻教程百度推廣登陸平臺登錄
  • java開發(fā)工具下載??诰W(wǎng)站關(guān)鍵詞優(yōu)化
  • 制作圖網(wǎng)站有哪些內(nèi)容百度文庫首頁官網(wǎng)
  • 旅游景點網(wǎng)頁設計百度移動seo首選帝搜軟件
  • 濱州市城鄉(xiāng)建設部網(wǎng)站首頁網(wǎng)頁制作教程步驟
  • 網(wǎng)站二維碼鏈接怎么做的培訓課
  • 樹莓派 做網(wǎng)站開發(fā)定制軟件公司
  • 怎么才能百度做網(wǎng)站百度一下官網(wǎng)手機版
  • 網(wǎng)站title的作用怎樣做自己的網(wǎng)站
  • 網(wǎng)站空間怎么登陸網(wǎng)上推廣平臺有哪些
  • 江西網(wǎng)站建設哪家專業(yè)seo怎么做排名
  • 做傳銷網(wǎng)站違法什么是軟文營銷?
  • h5 高端網(wǎng)站建設怎樣制作一個網(wǎng)站
  • 京山網(wǎng)站建設2345網(wǎng)址導航 中國最
  • 二手車網(wǎng)站源碼精準信息預測
  • 做網(wǎng)站建設的銷售薪水搜索引擎排名優(yōu)化seo課后題
  • wordpress充值功能無錫整站百度快照優(yōu)化
  • 沈陽房地產(chǎn)網(wǎng)站開發(fā)服務營銷策劃方案
  • 威海住房和城鄉(xiāng)建設局網(wǎng)站首頁搜索引擎營銷簡稱seo
  • 太原制作網(wǎng)站的公司福建seo學校
  • 酒業(yè)公司網(wǎng)站模板bilibili官網(wǎng)網(wǎng)頁入口
  • 網(wǎng)站建設開發(fā)合同指數(shù)是指什么
  • wordpress怎么清緩存優(yōu)化網(wǎng)絡培訓
  • 在線學做衣服 的網(wǎng)站關(guān)鍵詞優(yōu)化公司排名榜
  • 現(xiàn)在做一個app大概多少錢百度seo技術(shù)
  • 咋制作網(wǎng)站網(wǎng)站怎么優(yōu)化自己免費
  • 全屏網(wǎng)站怎么做最新新聞熱點素材
  • 品牌建設讓知乎關(guān)鍵詞排名優(yōu)化工具
  • 網(wǎng)站底部備案信息seo公司推廣
  • 網(wǎng)站建設改版農(nóng)大南路網(wǎng)絡營銷推廣優(yōu)化