中文亚洲精品无码_熟女乱子伦免费_人人超碰人人爱国产_亚洲熟妇女综合网

當(dāng)前位置: 首頁 > news >正文

wordpress訂單推送微信sem與seo

wordpress訂單推送微信,sem與seo,如何在網(wǎng)站上做抽獎系統(tǒng),網(wǎng)上家裝接單平臺關(guān)注 望森FPGA 查看更多FPGA資訊 這是望森的第 7 期分享 作者 | 望森 來源 | 望森FPGA 目錄 1 Conditional ternary operator | 條件三目運算符 2 Reduction operators | 歸約運算器 3 Reduction: Even wider gates | 歸約:更寬的門電路 4 Combinational fo…

關(guān)注?望森FPGA ?查看更多FPGA資訊

這是望森的第 7 期分享

作者 | 望森
來源 | 望森FPGA

目錄

1 Conditional ternary operator | 條件三目運算符

2 Reduction operators | 歸約運算器

3 Reduction: Even wider gates | 歸約:更寬的門電路

4 Combinational for-loop: Vector reversal 2 | 組合 for 循環(huán):向量反轉(zhuǎn) 2

5 Combinational for-loop: 255-bit population count | 組合 for 循環(huán):255 位人口計數(shù)

6 Generate for-loop: 100-bit binary adder 2 | Generate for 循環(huán):100 位二進制加法器 2

7 Generate for-loop: 100-digit BCD adder | Generate for 循環(huán):100 位 BCD 加法器


本文中的代碼都能夠正常運行,請放心食用😋~

練習(xí)的官方網(wǎng)站是:https://hdlbits.01xz.net/

注:作者將每個練習(xí)的知識點都放在了題目和答案之后


1 Conditional ternary operator | 條件三目運算符

題目:

給定四個無符號數(shù),找出最小值。無符號數(shù)可以用標準比較運算符 (a < b) 進行比較。使用條件運算符制作雙向最小電路,然后組合其中幾個以創(chuàng)建 4 路最小電路。您可能需要一些wire向量來獲取中間結(jié)果。

答案:

module top_module (input [7:0] a, b, c, d,output [7:0] min);//wire [7:0] minab;wire [7:0] mincd;assign minab         = (a<b)? a: b;assign mincd         = (c<d)? c: d;assign min           = (minab<mincd)? minab: mincd;endmodule

知識點:

Verilog 有一個三元條件運算符 ( ? : ),與 C 非常相似:
(condition ? if_true : if_false)

這可用于根據(jù)一行中的條件 (mux!) 選擇兩個值中的一個,而無需在組合 always 塊中使用 if-then。

示例:
(0 ? 3 : 5) // 這是 5,因為條件為假。
(sel ? b : a) // 由 sel 選擇的 a 和 b 之間的 2 對 1 多路復(fù)用器。

always @(posedge clk) // T 觸發(fā)器。
q <= toggle ? ~q : q;

always @(*) // 單輸入 FSM 的狀態(tài)轉(zhuǎn)換邏輯
case (state)
A: next = w ? B : A;
B: next = w ? A : B;
endcase

assign out = ena ? q : 1'bz; // 三態(tài)緩沖器
((sel[1:0] == 2'h0) ? a : // 3 對 1 多路復(fù)用器
(sel[1:0] == 2'h1) ? b : c )


2 Reduction operators | 歸約運算器

題目:

奇偶校驗通常用作在通過不完美信道傳輸數(shù)據(jù)時檢測錯誤的簡單方法。創(chuàng)建一個電路,用于計算 8 位字節(jié)的奇偶校驗位(將第 9 位添加到字節(jié))。我們將使用“偶”奇偶校驗,其中奇偶校驗位只是所有 8 個數(shù)據(jù)位的異或。

答案:

module top_module (input [7:0] in,output parity); assign parity = ^in;endmodule

知識點:

您已經(jīng)熟悉了兩個值之間的按位運算,例如 a & b 或 a ^ b。有時,您想要創(chuàng)建一個對一個向量的所有位進行運算的寬門,例如 (a[0] & a[1] & a[2] & a[3] ... ),如果向量很長,這會變得很繁瑣。

歸約運算符可以對向量的位進行 AND、OR 和 XOR 運算,從而產(chǎn)生一位輸出:
& a[3:0] // AND: a[3]&a[2]&a[1]&a[0]. Equivalent to (a[3:0] == 4'hf)
| b[3:0] // OR: b[3]|b[2]|b[1]|b[0]. Equivalent to (b[3:0] != 4'h0)
^ c[2:0] // XOR: c[2]^c[1]^c[0]

這些是只有一個操作數(shù)的一元運算符(類似于 NOT 運算符 ! 和 ~)。您還可以反轉(zhuǎn)這些運算符的輸出以創(chuàng)建 NAND、NOR 和 XNOR 門,例如 (~& d[7:0])。

現(xiàn)在您可以重新訪問 4 輸入門和 100 輸入門。


3 Reduction: Even wider gates | 歸約:更寬的門電路

題目:

構(gòu)建一個具有 100 個輸入的組合電路,in[99:0]。

有 3 個輸出:

  • out_and:100 個輸入與門的輸出。

  • out_or:100 個輸入或門的輸出。

  • out_xor:100 個輸入異或門的輸出。

答案:

module top_module( input [99:0] in,output out_and,output out_or,output out_xor 
);assign out_and = ∈assign out_or = |in;assign out_xor = ^in;endmodule

4 Combinational for-loop: Vector reversal 2 | 組合 for 循環(huán):向量反轉(zhuǎn) 2

題目:

給定一個 100 位輸入向量 [99:0],反轉(zhuǎn)其位順序。

答案:

module top_module( input [99:0] in,output [99:0] out
);genvar i;generate for(i=0;i<100;i=i+1)begin:name1assign out[i] = in[99-i];endendgenerateendmodule

知識點:

錯解及分析:
module top_module( input [99:0] in,output [99:0] out
);genvar i;generate for(i=0;i<99;i=i+1)beginout[i] = in[99-i];endendgenerateendmodule

Error (10170): Verilog HDL syntax error at top_module.v(9) near text: "="; expecting ".", or an identifier. Check for and fix any syntax errors that appear immediately before or at the specified keyword.

通過組合邏輯進行變量賦值,需要使用assign語句。

Error (10644): Verilog HDL error at top_module.v(8): this block requires a name File: /home/h/work/hdlbits.18346683/top_module.v Line: 8

需要給 Generate 中的 for 循環(huán)塊命名。


5 Combinational for-loop: 255-bit population count | 組合 for 循環(huán):255 位人口計數(shù)

題目:

“人口計數(shù)”電路計算輸入向量中“1”的數(shù)量。為 255 位輸入向量構(gòu)建人口計數(shù)電路。

答案:

module top_module( input [254:0] in,output [7:0] out );integer i;always@(*)begin:name1out = 8'd0;for(i=0;i<255;i=i+1)beginif(in[i])out = out + 1;elseout = out;endendendmodule

6 Generate for-loop: 100-bit binary adder 2 | Generate for 循環(huán):100 位二進制加法器 2

題目:

通過實例化 100 個全加器來創(chuàng)建一個 100 位二進制行波進位加法器。該加法器將兩個 100 位數(shù)和一個進位相加,以產(chǎn)生 100 位和并輸出進位。為了鼓勵您實際實例化全加器,還輸出行波進位加法器中每個全加器的進位。cout[99] 是最后一個全加器的最終進位,也是您通??吹降倪M位。

答案:

module top_module( input [99:0] a, b,input cin,output [99:0] cout,output [99:0] sum );full_adder adder_0( .a(a[0]), .b(b[0]),.cin(cin),.cout(cout[0]),.sum(sum[0]) );genvar i;generate for(i=1;i<100;i=i+1)begin:name1full_adder adder_i( .a(a[i]), .b(b[i]),.cin(cout[i-1]),.cout(cout[i]),.sum(sum[i]) );endendgenerateendmodulemodule full_adder( input a, b,input cin,output cout,output sum );assign cout = a&b | a&cin | b&cin;assign sum = a ^ b ^ cin;endmodule

7 Generate for-loop: 100-digit BCD adder | Generate for 循環(huán):100 位 BCD 加法器

題目:

您將獲得一個名為 bcd_fadd 的 BCD 一位數(shù)加法器,它將兩個 BCD 數(shù)字和進位相加,并產(chǎn)生一個和及進位輸出。

module bcd_fadd (
input [3:0] a,
input [3:0] b,
input cin,
output cout,
output [3:0] sum );

實例化 100 個 bcd_fadd 副本以創(chuàng)建一個 100 位 BCD 行波進位加法器。您的加法器應(yīng)將兩個 100 位 BCD 數(shù)字(打包成 400 位向量)和一個進位相加,以產(chǎn)生一個 100 位和及進位輸出。

答案:

module top_module( input [399:0] a, b,input cin,output cout,output [399:0] sum );wire [99:0] cout_t;bcd_fadd add_0(.a(a[3:0]),.b(b[3:0]),.cin(cin),.cout(cout_t[0]),.sum(sum[3:0]) );genvar i;generate for (i=1;i<100;i=i+1)begin:name1bcd_fadd add_i(.a(a[i*4+3:i*4]),.b(b[i*4+3:i*4]),.cin(cout_t[i-1]),.cout(cout_t[i]),.sum(sum[i*4+3:i*4]) );endendgenerateassign cout = cout_t[99];endmodule

- END -

公z號/CSDN/EETOP搜索【望森FPGA】,查看更多FPGA資訊~

相關(guān)推薦文章,點擊跳轉(zhuǎn):

望森FPGA的HDLBits合集

http://www.risenshineclean.com/news/11328.html

相關(guān)文章:

  • 做國外銷售都上什么網(wǎng)站不付費免費網(wǎng)站
  • ps做網(wǎng)站頁面美工班級優(yōu)化大師官方免費下載
  • 維恩圖在線制作網(wǎng)站站長工具的使用seo綜合查詢運營
  • 做網(wǎng)站不用服務(wù)器嗎鄭州網(wǎng)站開發(fā)公司
  • 找做報紙的背景圖去什么網(wǎng)站海外推廣代理商
  • 個人如何開網(wǎng)站東莞網(wǎng)絡(luò)推廣營銷
  • 哪家網(wǎng)站建設(shè)服務(wù)好開發(fā)網(wǎng)站需要多少錢
  • 懷化市優(yōu)化辦電話seo快速排名優(yōu)化公司
  • 東莞建工集團知乎推廣優(yōu)化
  • 周口網(wǎng)站制作公司哪家好快速網(wǎng)站seo效果
  • 江蘇 網(wǎng)站 備案黃頁引流推廣網(wǎng)站入口
  • 網(wǎng)絡(luò)搏彩網(wǎng)站做代理衡水seo營銷
  • 做網(wǎng)站用的系統(tǒng)公司網(wǎng)絡(luò)推廣營銷
  • 網(wǎng)站主機是服務(wù)器嗎深圳廣告公司
  • 我的網(wǎng)站沒備案怎么做淘寶客推廣小程序運營推廣公司
  • 服裝網(wǎng)站建設(shè)公司地址國際新聞最新消息今天軍事新聞
  • 黑龍江住房和建設(shè)廳網(wǎng)站寧波seo網(wǎng)絡(luò)推廣推薦
  • 做網(wǎng)站模板的海報尺寸多少錢電商seo優(yōu)化是什么意思
  • 做網(wǎng)站必須要電腦嗎app開發(fā)工具
  • 公司網(wǎng)站建立教程aso優(yōu)化平臺有哪些
  • 重慶建站模板源碼推動防控措施持續(xù)優(yōu)化
  • 用淘寶評論做網(wǎng)站個人博客網(wǎng)頁制作
  • 北京國家建設(shè)部網(wǎng)站首頁上海百度推廣電話
  • 購買云服務(wù)器后怎么做網(wǎng)站seo優(yōu)化幾個關(guān)鍵詞
  • 建設(shè)大型網(wǎng)站世界足球排名前100名
  • wordpress做論壇網(wǎng)站免費推廣網(wǎng)站排行榜
  • 靜態(tài)網(wǎng)站可以做哪些內(nèi)容小程序開發(fā)框架
  • 免費下載ppt模板的網(wǎng)站有哪些站長工具seo源碼
  • 做交易網(wǎng)站百度seo排名如何提升
  • 溫州網(wǎng)站建設(shè)前十公司大同優(yōu)化推廣