中文亚洲精品无码_熟女乱子伦免费_人人超碰人人爱国产_亚洲熟妇女综合网

當(dāng)前位置: 首頁 > news >正文

php網(wǎng)站開發(fā)api投放廣告的網(wǎng)站

php網(wǎng)站開發(fā)api,投放廣告的網(wǎng)站,千鋒python培訓(xùn),網(wǎng)站建設(shè)內(nèi)容保障工作個(gè)人總結(jié)需求: 用兩段式狀態(tài)機(jī)設(shè)計(jì)序列碼檢測(cè)機(jī)。這個(gè)序列碼檢測(cè)機(jī)用于檢索連續(xù)輸入的 1bit 數(shù)據(jù) (每個(gè)時(shí)鐘周期輸入 1bit),當(dāng)檢測(cè)到一串“101100”的輸入數(shù)據(jù)時(shí),產(chǎn)生一個(gè)時(shí)鐘周期的 高脈沖指示信號(hào) 狀態(tài)圖 //實(shí)現(xiàn)狀態(tài)機(jī)切…

需求:

用兩段式狀態(tài)機(jī)設(shè)計(jì)序列碼檢測(cè)機(jī)。這個(gè)序列碼檢測(cè)機(jī)用于檢索連續(xù)輸入的 1bit 數(shù)據(jù)

(每個(gè)時(shí)鐘周期輸入 1bit),當(dāng)檢測(cè)到一串“101100”的輸入數(shù)據(jù)時(shí),產(chǎn)生一個(gè)時(shí)鐘周期的

高脈沖指示信號(hào)

狀態(tài)圖

 //實(shí)現(xiàn)狀態(tài)機(jī)切換//101100 //完成切換后,輸出高脈沖`timescale 1ns/1ps
module vlg_design(input i_clk,input i_rest_n,input i_incode,output reg   o_vaild);parameter IDLE = 4'd0; 
parameter S1   = 4'd1; 
parameter S2   = 4'd2; 
parameter S3   = 4'd3; 
parameter S4   = 4'd4; 
parameter S5   = 4'd5; 
parameter S6   = 4'd6; reg [3:0] cstate;
reg [3:0] nstate;always @(posedge i_clk) beginif(!i_rest_n) cstate <= IDLE;else cstate <= nstate;
endalways @(*) begincase(cstate)IDLE:begino_vaild <= 1'd0;if(i_incode == 1) nstate <= S1;else nstate <= IDLE;endS1:begino_vaild <= 1'd0;if(i_incode == 0) nstate <= S2;else nstate <= S1;endS2:begino_vaild <= 1'd0;if(i_incode == 1) nstate <= S3;else nstate <= IDLE;endS3:begino_vaild <= 1'd0;if(i_incode == 1) nstate <= S4;else nstate <= S2;endS4:begino_vaild <= 1'd0;if(i_incode == 0) nstate <= S5;else nstate <= S1;endS5:begino_vaild <= 1'd0;if(i_incode == 0) nstate <= S6;else nstate <= S3;endS6:begino_vaild <= 1'd1;if(i_incode == 1) nstate <= S1;else nstate <= IDLE;end        default :beginnstate <= IDLE;o_vaild <= 1'd0;endendcase
endendmodule

`timescale 1ns/1psmodule testbench_top();//參數(shù)定義`define CLK_PERIORD        20        //時(shí)鐘周期設(shè)置為20ns(50MHz)    //接口申明
reg i_clk;
reg i_rest_n;
reg i_incode;
wire o_vaild;//對(duì)被測(cè)試的設(shè)計(jì)進(jìn)行例化vlg_design        uut_vlg_design(.i_clk(i_clk),.i_rest_n(i_rest_n),.i_incode(i_incode),.o_vaild(o_vaild) );    ///initial begini_clk <= 0;
i_rest_n <= 0;
#20;
i_rest_n <= 1;endalways #(`CLK_PERIORD/2) i_clk = ~i_clk;
integer i;initial begin@(posedge i_clk);
@(posedge i_rest_n);my_task(6'b101100);
my_task(6'b101100);my_task(6'b111100);
my_task(6'b101110);
my_task(6'b101100);
repeat(10)@(posedge i_clk);#2_000_000;
$stop;
endtask my_task;  input [5:0] data;    begin  for(i=0;i < 6;i = i+1) begini_incode <= data[5-i];@(posedge i_clk);endend  
endtaskendmodule

仿真波形

http://www.risenshineclean.com/news/62890.html

相關(guān)文章:

  • 做網(wǎng)站怎么選云主機(jī)免費(fèi)制作自己的網(wǎng)頁
  • 網(wǎng)絡(luò)公司網(wǎng)站首頁企業(yè)推廣宣傳文案
  • 微信網(wǎng)站建設(shè)費(fèi)用計(jì)入什么科目邯鄲網(wǎng)站優(yōu)化
  • wapcms建站系統(tǒng)資源網(wǎng)
  • 做自己的網(wǎng)站能賺錢嗎軟文廣告500字
  • wordpress qq在線聊天搜索引擎優(yōu)化自然排名
  • 網(wǎng)站 多語言廣東新聞今日大件事
  • 怎么做網(wǎng)站添加二維碼網(wǎng)絡(luò)軟文寫作
  • 濟(jì)南專門做公司網(wǎng)站的公司windows優(yōu)化大師使用方法
  • 長(zhǎng)春網(wǎng)站品牌seo培訓(xùn)咨詢
  • 免費(fèi)建設(shè)網(wǎng)站公司哪家好網(wǎng)站百度收錄
  • 個(gè)人網(wǎng)站做博客還是做論壇2023網(wǎng)站seo
  • 深圳網(wǎng)站建設(shè)加盟無錫網(wǎng)絡(luò)公司
  • 網(wǎng)站建設(shè)基地百度推廣員工工資怎么樣
  • 蕪湖做的好的招聘網(wǎng)站百度seo在哪里
  • 響應(yīng)式網(wǎng)站制作軟件網(wǎng)上怎么推廣產(chǎn)品
  • 自己做的網(wǎng)站放到首頁深圳市推廣網(wǎng)站的公司
  • 中學(xué)生制作網(wǎng)站怎么做seo外鏈資源
  • 昆明seo搜索引擎優(yōu)化的簡(jiǎn)稱是
  • 四川企業(yè)品牌網(wǎng)站建設(shè)愛站工具下載
  • 英文網(wǎng)站建設(shè)官網(wǎng)優(yōu)化搜索引擎的方法
  • 做色情網(wǎng)站需要多少錢企業(yè)網(wǎng)站建設(shè)案例
  • 成交型網(wǎng)站建設(shè)app營(yíng)銷策略
  • 電子商務(wù)網(wǎng)站的作用有哪些泰安網(wǎng)站優(yōu)化公司
  • 網(wǎng)站中插入視頻代碼佛山疫情最新消息
  • 做b2b專業(yè)網(wǎng)站一般有效果嗎怎么給客戶推廣自己的產(chǎn)品
  • 深圳快速網(wǎng)站制作如何利用互聯(lián)網(wǎng)宣傳與推廣
  • 無錫做網(wǎng)站選優(yōu)易信定制網(wǎng)站建設(shè)電話
  • 網(wǎng)站建設(shè)與管理讀書心得推廣品牌的方法
  • 注冊(cè)網(wǎng)站域名需要什么資料醫(yī)療器械排名優(yōu)化seo