中文亚洲精品无码_熟女乱子伦免费_人人超碰人人爱国产_亚洲熟妇女综合网

當前位置: 首頁 > news >正文

網(wǎng)站二次開發(fā)是什么意思seo優(yōu)化團隊

網(wǎng)站二次開發(fā)是什么意思,seo優(yōu)化團隊,給個能直接看的網(wǎng)址謝謝,寶安電子廠做高端網(wǎng)站??途W(wǎng)Verilog刷題——VL54 題目答案 題目 實現(xiàn)一個深度為8,位寬為4bit的雙端口RAM,數(shù)據(jù)全部初始化為0000。具有兩組端口,分別用于讀數(shù)據(jù)和寫數(shù)據(jù),讀寫操作可以同時進行。當讀數(shù)據(jù)指示信號read_en有效時,通過讀地址信號…

??途W(wǎng)Verilog刷題——VL54

  • 題目
  • 答案

題目

??實現(xiàn)一個深度為8,位寬為4bit的雙端口RAM,數(shù)據(jù)全部初始化為0000。具有兩組端口,分別用于讀數(shù)據(jù)和寫數(shù)據(jù),讀寫操作可以同時進行。當讀數(shù)據(jù)指示信號read_en有效時,通過讀地址信號read_addr讀取相應位置的數(shù)據(jù)read_data,并輸出;當寫數(shù)據(jù)指示信號write_en有效時,通過寫地址信號write_addr 和寫數(shù)據(jù)write_data,向?qū)恢脤懭胂鄳臄?shù)據(jù)。
??模塊的信號接口圖如下:

在這里插入圖片描述

??模塊的時序圖如下:

在這里插入圖片描述

??輸入輸出描述。

信號類型輸入/輸出位寬描述
clkwireInput1系統(tǒng)時鐘信號
rst_nwireInput1異步復位信號,低電平有效
read_enwireInput1讀使能信號,高電平表示進行讀操作
write_enwireInput1寫使能信號,高電平表示進行寫操作
read_addrwireInput8讀地址
write_addrwireInput8寫地址
write_datawireInput4寫數(shù)據(jù),在寫使能信號拉高時,同時輸入寫數(shù)據(jù)
read_dataregOutput4讀數(shù)據(jù)

答案

??在題目中,并未指出數(shù)據(jù)的讀寫操作是同步的還是異步的,從題目中給出的時序圖看,題目要求在讀使能有效的該時鐘內(nèi)讀出數(shù)據(jù),比如在讀使能read_en有效時,地址為0,立刻讀出地址0中的數(shù)據(jù)1,地址為1,則立刻讀出地址1中的數(shù)據(jù)2,可見時序圖要求的是異步讀,但是在程序中,我們設(shè)置為同步讀,仿真也是通過的(個人覺得題目有問題,沒有指出讀寫是同步還是異步,仿真也有問題)。

`timescale 1ns/1ns
module ram_mod(input clk,input rst_n,input write_en,input [7:0]write_addr,input [3:0]write_data,input read_en,input [7:0]read_addr,output reg [3:0]read_data
);
integer i;
reg [3:0] mem [255:0];//寫操作
always @(posedge clk or negedge rst_n)if(!rst_n)for(i=0;i<256;i=i+1) beginmem[i] <= 'd0;endelse if(write_en)mem[write_addr] <= write_data;//同步讀操作
always @(posedge clk or negedge rst_n) if(!rst_n)read_data <= 'd0;else if(read_en)read_data <= mem[read_addr];elseread_data <= 'd0;//異步讀操作
/*
always @(*) if(read_en)read_data = mem[read_addr];elseread_data = 'd0;
*/endmodule
http://www.risenshineclean.com/news/30056.html

相關(guān)文章:

  • 網(wǎng)站建設(shè)需要注冊什么類型的公司aso應用商店優(yōu)化原因
  • 溫州免費做網(wǎng)站安徽360優(yōu)化
  • 濟南網(wǎng)站建設(shè)公司哪個好自媒體平臺有哪些
  • 網(wǎng)站上面的內(nèi)容里面放照片怎么做平臺推廣文案
  • centos 7安裝wordpress上海seo排名
  • 歐美網(wǎng)站欣賞搜索引擎營銷實訓報告
  • 大型自助建站平臺seo的方法
  • 網(wǎng)站建設(shè)體會電腦系統(tǒng)優(yōu)化軟件十大排名
  • 移動網(wǎng)站如何做權(quán)重鎮(zhèn)江網(wǎng)站制作公司
  • 青島建設(shè)委員會網(wǎng)站網(wǎng)站關(guān)鍵詞優(yōu)化培訓
  • wordpress幻燈片怎么建運營seo是什么意思
  • 不正規(guī)網(wǎng)站制作seo博客模板
  • 網(wǎng)頁設(shè)計網(wǎng)站鏈接怎么做公司網(wǎng)站推廣
  • 浦東網(wǎng)站建設(shè)公司網(wǎng)絡(luò)營銷網(wǎng)站
  • 有什么免費ppt模板網(wǎng)站好用的搜索引擎有哪些
  • dw怎樣去除網(wǎng)站做的頁面模板網(wǎng)站運營及推廣方案
  • 淘寶網(wǎng)站建設(shè)基本流程圖萬網(wǎng)域名查詢接口
  • 手機網(wǎng)站制作與建設(shè)百度收錄網(wǎng)址提交
  • 今日軍事新聞聯(lián)播在線播放長沙百度快照優(yōu)化排名
  • 優(yōu)質(zhì)網(wǎng)站建設(shè)報價長沙seo網(wǎng)站優(yōu)化
  • 國外扁平化網(wǎng)站企業(yè)培訓計劃方案
  • 建設(shè)類似衣聯(lián)網(wǎng)的網(wǎng)站整合營銷名詞解釋
  • php做的網(wǎng)站怎么上傳網(wǎng)站推廣優(yōu)化
  • h5網(wǎng)站制作費用關(guān)鍵字c語言
  • 模擬網(wǎng)站建設(shè)平臺推廣是做什么的
  • 可以自己做效果圖的網(wǎng)站小程序自助搭建平臺
  • 學生做網(wǎng)站期末作業(yè)新產(chǎn)品推廣方案怎么寫
  • 做空氣開關(guān)那個網(wǎng)站推廣比較好百度廣告聯(lián)盟app
  • 天津企業(yè)網(wǎng)站模板建站哪家好搜索自媒體平臺
  • 網(wǎng)站建設(shè)后的效果評估sem是什么意思啊